Difference between rising_edge(clk) and (clk'event and clk='1')

11

Only few VHDL programmers know that there is something called "rising_edge()" function.Even those who know about it, they still stick to the old fashioned clk'event and clk='1' method of finding an edge transition of clock.Here in

Read this post on vhdlguru.blogspot.com


vipin lal

blogs from chennai