Why the library "numeric_std" is preferred over "std_logic_arith" in VHDL?

15

Only few people have heard about the numeric_std library in VHDL. people still stick with the synopsis libraries such as std_logic_arith and std_logic_unsigned. But these libraries are not even ieee standard as we think.Just go through this article and yo

Read this post on vhdlguru.blogspot.com


vipin lal

blogs from chennai